Logo AND Algorithmique Numérique Distribuée

Public GIT Repository
one parameter less in SD_task_get_execution_time
[simgrid.git] / examples / simdag / sd_test.c
index 9d70ef8..ad00cda 100644 (file)
@@ -156,8 +156,7 @@ int main(int argc, char **argv)
   task = taskD;
   INFO2("Estimated time for '%s': %f", SD_task_get_name(task),
         SD_task_get_execution_time(task, workstation_number, workstation_list,
-                                   computation_amount, communication_amount,
-                                   rate));
+                                   computation_amount, communication_amount));
 
   /* let's launch the simulation! */