From 18323d52bdd653e4594327ffa3873facd34c3ea8 Mon Sep 17 00:00:00 2001 From: cherierm Date: Thu, 11 Oct 2007 10:17:17 +0000 Subject: [PATCH] this directory contains all the projects of the exemples msg and simdag for Visual C++ git-svn-id: svn+ssh://scm.gforge.inria.fr/svn/simgrid/simgrid/trunk@4810 48e7efb5-ca39-0410-a469-dd3cf9ba447f --- .../msg/masterslave/masterslave.vcproj | 198 +++++++++++++++++ .../masterslave_bypass.vcproj | 198 +++++++++++++++++ .../masterslave_failure.vcproj | 198 +++++++++++++++++ .../masterslave_forwarde.vcproj | 198 +++++++++++++++++ .../msg/parallel_task/parallel_task.vcproj | 198 +++++++++++++++++ .../vc7/examples/msg/priority/priority.vcproj | 198 +++++++++++++++++ .../vc7/examples/msg/sendrecv/sendrecv.vcproj | 199 +++++++++++++++++ build/vc7/examples/msg/suspend/suspend.vcproj | 198 +++++++++++++++++ .../examples/simdag/sd_test/sd_test.vcproj | 199 +++++++++++++++++ .../examples/simdag/sd_test2/sd_test2.vcproj | 202 ++++++++++++++++++ 10 files changed, 1986 insertions(+) create mode 100644 build/vc7/examples/msg/masterslave/masterslave.vcproj create mode 100644 build/vc7/examples/msg/masterslave_bypass/masterslave_bypass.vcproj create mode 100644 build/vc7/examples/msg/masterslave_failure/masterslave_failure.vcproj create mode 100644 build/vc7/examples/msg/masterslave_forwarde/masterslave_forwarde.vcproj create mode 100644 build/vc7/examples/msg/parallel_task/parallel_task.vcproj create mode 100644 build/vc7/examples/msg/priority/priority.vcproj create mode 100644 build/vc7/examples/msg/sendrecv/sendrecv.vcproj create mode 100644 build/vc7/examples/msg/suspend/suspend.vcproj create mode 100644 build/vc7/examples/simdag/sd_test/sd_test.vcproj create mode 100644 build/vc7/examples/simdag/sd_test2/sd_test2.vcproj diff --git a/build/vc7/examples/msg/masterslave/masterslave.vcproj b/build/vc7/examples/msg/masterslave/masterslave.vcproj new file mode 100644 index 0000000000..71d45ffff2 --- /dev/null +++ b/build/vc7/examples/msg/masterslave/masterslave.vcproj @@ -0,0 +1,198 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/build/vc7/examples/msg/masterslave_bypass/masterslave_bypass.vcproj b/build/vc7/examples/msg/masterslave_bypass/masterslave_bypass.vcproj new file mode 100644 index 0000000000..17afe71f45 --- /dev/null +++ b/build/vc7/examples/msg/masterslave_bypass/masterslave_bypass.vcproj @@ -0,0 +1,198 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/build/vc7/examples/msg/masterslave_failure/masterslave_failure.vcproj b/build/vc7/examples/msg/masterslave_failure/masterslave_failure.vcproj new file mode 100644 index 0000000000..1768f637ba --- /dev/null +++ b/build/vc7/examples/msg/masterslave_failure/masterslave_failure.vcproj @@ -0,0 +1,198 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/build/vc7/examples/msg/masterslave_forwarde/masterslave_forwarde.vcproj b/build/vc7/examples/msg/masterslave_forwarde/masterslave_forwarde.vcproj new file mode 100644 index 0000000000..0df69acef9 --- /dev/null +++ b/build/vc7/examples/msg/masterslave_forwarde/masterslave_forwarde.vcproj @@ -0,0 +1,198 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/build/vc7/examples/msg/parallel_task/parallel_task.vcproj b/build/vc7/examples/msg/parallel_task/parallel_task.vcproj new file mode 100644 index 0000000000..6572b1d83e --- /dev/null +++ b/build/vc7/examples/msg/parallel_task/parallel_task.vcproj @@ -0,0 +1,198 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/build/vc7/examples/msg/priority/priority.vcproj b/build/vc7/examples/msg/priority/priority.vcproj new file mode 100644 index 0000000000..f6db512434 --- /dev/null +++ b/build/vc7/examples/msg/priority/priority.vcproj @@ -0,0 +1,198 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/build/vc7/examples/msg/sendrecv/sendrecv.vcproj b/build/vc7/examples/msg/sendrecv/sendrecv.vcproj new file mode 100644 index 0000000000..2e69bf2260 --- /dev/null +++ b/build/vc7/examples/msg/sendrecv/sendrecv.vcproj @@ -0,0 +1,199 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/build/vc7/examples/msg/suspend/suspend.vcproj b/build/vc7/examples/msg/suspend/suspend.vcproj new file mode 100644 index 0000000000..54fbb9eb15 --- /dev/null +++ b/build/vc7/examples/msg/suspend/suspend.vcproj @@ -0,0 +1,198 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/build/vc7/examples/simdag/sd_test/sd_test.vcproj b/build/vc7/examples/simdag/sd_test/sd_test.vcproj new file mode 100644 index 0000000000..97565e8cc3 --- /dev/null +++ b/build/vc7/examples/simdag/sd_test/sd_test.vcproj @@ -0,0 +1,199 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/build/vc7/examples/simdag/sd_test2/sd_test2.vcproj b/build/vc7/examples/simdag/sd_test2/sd_test2.vcproj new file mode 100644 index 0000000000..d4dceb5a87 --- /dev/null +++ b/build/vc7/examples/simdag/sd_test2/sd_test2.vcproj @@ -0,0 +1,202 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + -- 2.20.1