From: mquinson Date: Thu, 11 Dec 2008 20:12:24 +0000 (+0000) Subject: rename build directory into buildtool to avoid name clashes X-Git-Tag: v3.3~68 X-Git-Url: http://info.iut-bm.univ-fcomte.fr/pub/gitweb/simgrid.git/commitdiff_plain/98cd9c3abed975096b3b915a6f321522760c2fca rename build directory into buildtool to avoid name clashes git-svn-id: svn+ssh://scm.gforge.inria.fr/svn/simgrid/simgrid/trunk@6113 48e7efb5-ca39-0410-a469-dd3cf9ba447f --- diff --git a/build/buildbot/dist-worker/buildbot.tac b/buildtools/buildbot/dist-worker/buildbot.tac similarity index 100% rename from build/buildbot/dist-worker/buildbot.tac rename to buildtools/buildbot/dist-worker/buildbot.tac diff --git a/build/buildbot/extensions.py b/buildtools/buildbot/extensions.py similarity index 100% rename from build/buildbot/extensions.py rename to buildtools/buildbot/extensions.py diff --git a/build/buildbot/linux_amd64_pthreads/buildbot.tac b/buildtools/buildbot/linux_amd64_pthreads/buildbot.tac similarity index 100% rename from build/buildbot/linux_amd64_pthreads/buildbot.tac rename to buildtools/buildbot/linux_amd64_pthreads/buildbot.tac diff --git a/build/buildbot/linux_amd64_ucontext/buildbot.tac b/buildtools/buildbot/linux_amd64_ucontext/buildbot.tac similarity index 100% rename from build/buildbot/linux_amd64_ucontext/buildbot.tac rename to buildtools/buildbot/linux_amd64_ucontext/buildbot.tac diff --git a/build/buildbot/master/buildbot.tac b/buildtools/buildbot/master/buildbot.tac similarity index 100% rename from build/buildbot/master/buildbot.tac rename to buildtools/buildbot/master/buildbot.tac diff --git a/build/buildbot/master/master.cfg b/buildtools/buildbot/master/master.cfg similarity index 100% rename from build/buildbot/master/master.cfg rename to buildtools/buildbot/master/master.cfg diff --git a/build/builder6/all2all_receiver.mak b/buildtools/builder6/all2all_receiver.mak similarity index 100% rename from build/builder6/all2all_receiver.mak rename to buildtools/builder6/all2all_receiver.mak diff --git a/build/builder6/all2all_sender.mak b/buildtools/builder6/all2all_sender.mak similarity index 100% rename from build/builder6/all2all_sender.mak rename to buildtools/builder6/all2all_sender.mak diff --git a/build/builder6/all2all_simulator.mak b/buildtools/builder6/all2all_simulator.mak similarity index 100% rename from build/builder6/all2all_simulator.mak rename to buildtools/builder6/all2all_simulator.mak diff --git a/build/builder6/bin/empty.txt b/buildtools/builder6/bin/empty.txt similarity index 100% rename from build/builder6/bin/empty.txt rename to buildtools/builder6/bin/empty.txt diff --git a/build/builder6/build_all.bpg b/buildtools/builder6/build_all.bpg similarity index 100% rename from build/builder6/build_all.bpg rename to buildtools/builder6/build_all.bpg diff --git a/build/builder6/chrono_multiplier.mak b/buildtools/builder6/chrono_multiplier.mak similarity index 100% rename from build/builder6/chrono_multiplier.mak rename to buildtools/builder6/chrono_multiplier.mak diff --git a/build/builder6/chrono_simulator.mak b/buildtools/builder6/chrono_simulator.mak similarity index 100% rename from build/builder6/chrono_simulator.mak rename to buildtools/builder6/chrono_simulator.mak diff --git a/build/builder6/context_usage.bpf b/buildtools/builder6/context_usage.bpf similarity index 100% rename from build/builder6/context_usage.bpf rename to buildtools/builder6/context_usage.bpf diff --git a/build/builder6/context_usage.bpr b/buildtools/builder6/context_usage.bpr similarity index 100% rename from build/builder6/context_usage.bpr rename to buildtools/builder6/context_usage.bpr diff --git a/build/builder6/context_usage.mak b/buildtools/builder6/context_usage.mak similarity index 100% rename from build/builder6/context_usage.mak rename to buildtools/builder6/context_usage.mak diff --git a/build/builder6/datadesc_usage.bpf b/buildtools/builder6/datadesc_usage.bpf similarity index 100% rename from build/builder6/datadesc_usage.bpf rename to buildtools/builder6/datadesc_usage.bpf diff --git a/build/builder6/datadesc_usage.bpr b/buildtools/builder6/datadesc_usage.bpr similarity index 100% rename from build/builder6/datadesc_usage.bpr rename to buildtools/builder6/datadesc_usage.bpr diff --git a/build/builder6/datadesc_usage.mak b/buildtools/builder6/datadesc_usage.mak similarity index 100% rename from build/builder6/datadesc_usage.mak rename to buildtools/builder6/datadesc_usage.mak diff --git a/build/builder6/graphxml_usage.bpf b/buildtools/builder6/graphxml_usage.bpf similarity index 100% rename from build/builder6/graphxml_usage.bpf rename to buildtools/builder6/graphxml_usage.bpf diff --git a/build/builder6/graphxml_usage.bpr b/buildtools/builder6/graphxml_usage.bpr similarity index 100% rename from build/builder6/graphxml_usage.bpr rename to buildtools/builder6/graphxml_usage.bpr diff --git a/build/builder6/graphxml_usage.mak b/buildtools/builder6/graphxml_usage.mak similarity index 100% rename from build/builder6/graphxml_usage.mak rename to buildtools/builder6/graphxml_usage.mak diff --git a/build/builder6/heap_bench.bpf b/buildtools/builder6/heap_bench.bpf similarity index 100% rename from build/builder6/heap_bench.bpf rename to buildtools/builder6/heap_bench.bpf diff --git a/build/builder6/heap_bench.bpr b/buildtools/builder6/heap_bench.bpr similarity index 100% rename from build/builder6/heap_bench.bpr rename to buildtools/builder6/heap_bench.bpr diff --git a/build/builder6/heap_bench.mak b/buildtools/builder6/heap_bench.mak similarity index 100% rename from build/builder6/heap_bench.mak rename to buildtools/builder6/heap_bench.mak diff --git a/build/builder6/lib/debug/empty b/buildtools/builder6/lib/debug/empty similarity index 100% rename from build/builder6/lib/debug/empty rename to buildtools/builder6/lib/debug/empty diff --git a/build/builder6/lib/empty b/buildtools/builder6/lib/empty similarity index 100% rename from build/builder6/lib/empty rename to buildtools/builder6/lib/empty diff --git a/build/builder6/lib/release/empty b/buildtools/builder6/lib/release/empty similarity index 100% rename from build/builder6/lib/release/empty rename to buildtools/builder6/lib/release/empty diff --git a/build/builder6/libgras.mak b/buildtools/builder6/libgras.mak similarity index 100% rename from build/builder6/libgras.mak rename to buildtools/builder6/libgras.mak diff --git a/build/builder6/libgras/dll/debug/libgras.dll b/buildtools/builder6/libgras/dll/debug/libgras.dll similarity index 100% rename from build/builder6/libgras/dll/debug/libgras.dll rename to buildtools/builder6/libgras/dll/debug/libgras.dll diff --git a/build/builder6/libgras/examples/obj/empty.txt b/buildtools/builder6/libgras/examples/obj/empty.txt similarity index 100% rename from build/builder6/libgras/examples/obj/empty.txt rename to buildtools/builder6/libgras/examples/obj/empty.txt diff --git a/build/builder6/libgras/lib/debug/libgras.lib b/buildtools/builder6/libgras/lib/debug/libgras.lib similarity index 100% rename from build/builder6/libgras/lib/debug/libgras.lib rename to buildtools/builder6/libgras/lib/debug/libgras.lib diff --git a/build/builder6/libgras/libgras.c b/buildtools/builder6/libgras/libgras.c similarity index 100% rename from build/builder6/libgras/libgras.c rename to buildtools/builder6/libgras/libgras.c diff --git a/build/builder6/libgras/obj/empty.txt b/buildtools/builder6/libgras/obj/empty.txt similarity index 100% rename from build/builder6/libgras/obj/empty.txt rename to buildtools/builder6/libgras/obj/empty.txt diff --git a/build/builder6/libgras/tests/obj/empty.txt b/buildtools/builder6/libgras/tests/obj/empty.txt similarity index 100% rename from build/builder6/libgras/tests/obj/empty.txt rename to buildtools/builder6/libgras/tests/obj/empty.txt diff --git a/build/builder6/log_usage.bpf b/buildtools/builder6/log_usage.bpf similarity index 100% rename from build/builder6/log_usage.bpf rename to buildtools/builder6/log_usage.bpf diff --git a/build/builder6/log_usage.bpr b/buildtools/builder6/log_usage.bpr similarity index 100% rename from build/builder6/log_usage.bpr rename to buildtools/builder6/log_usage.bpr diff --git a/build/builder6/log_usage.mak b/buildtools/builder6/log_usage.mak similarity index 100% rename from build/builder6/log_usage.mak rename to buildtools/builder6/log_usage.mak diff --git a/build/builder6/maxmin_bench.bpf b/buildtools/builder6/maxmin_bench.bpf similarity index 100% rename from build/builder6/maxmin_bench.bpf rename to buildtools/builder6/maxmin_bench.bpf diff --git a/build/builder6/maxmin_bench.bpr b/buildtools/builder6/maxmin_bench.bpr similarity index 100% rename from build/builder6/maxmin_bench.bpr rename to buildtools/builder6/maxmin_bench.bpr diff --git a/build/builder6/maxmin_bench.mak b/buildtools/builder6/maxmin_bench.mak similarity index 100% rename from build/builder6/maxmin_bench.mak rename to buildtools/builder6/maxmin_bench.mak diff --git a/build/builder6/maxmin_usage.bpf b/buildtools/builder6/maxmin_usage.bpf similarity index 100% rename from build/builder6/maxmin_usage.bpf rename to buildtools/builder6/maxmin_usage.bpf diff --git a/build/builder6/maxmin_usage.bpr b/buildtools/builder6/maxmin_usage.bpr similarity index 100% rename from build/builder6/maxmin_usage.bpr rename to buildtools/builder6/maxmin_usage.bpr diff --git a/build/builder6/maxmin_usage.mak b/buildtools/builder6/maxmin_usage.mak similarity index 100% rename from build/builder6/maxmin_usage.mak rename to buildtools/builder6/maxmin_usage.mak diff --git a/build/builder6/mmrpc_client.mak b/buildtools/builder6/mmrpc_client.mak similarity index 100% rename from build/builder6/mmrpc_client.mak rename to buildtools/builder6/mmrpc_client.mak diff --git a/build/builder6/mmrpc_server.mak b/buildtools/builder6/mmrpc_server.mak similarity index 100% rename from build/builder6/mmrpc_server.mak rename to buildtools/builder6/mmrpc_server.mak diff --git a/build/builder6/mmrpc_simulator.mak b/buildtools/builder6/mmrpc_simulator.mak similarity index 100% rename from build/builder6/mmrpc_simulator.mak rename to buildtools/builder6/mmrpc_simulator.mak diff --git a/build/builder6/msg_test.mak b/buildtools/builder6/msg_test.mak similarity index 100% rename from build/builder6/msg_test.mak rename to buildtools/builder6/msg_test.mak diff --git a/build/builder6/msg_test_communication_time.mak b/buildtools/builder6/msg_test_communication_time.mak similarity index 100% rename from build/builder6/msg_test_communication_time.mak rename to buildtools/builder6/msg_test_communication_time.mak diff --git a/build/builder6/msg_test_suspend.mak b/buildtools/builder6/msg_test_suspend.mak similarity index 100% rename from build/builder6/msg_test_suspend.mak rename to buildtools/builder6/msg_test_suspend.mak diff --git a/build/builder6/obj/empty b/buildtools/builder6/obj/empty similarity index 100% rename from build/builder6/obj/empty rename to buildtools/builder6/obj/empty diff --git a/build/builder6/ping_client.mak b/buildtools/builder6/ping_client.mak similarity index 100% rename from build/builder6/ping_client.mak rename to buildtools/builder6/ping_client.mak diff --git a/build/builder6/ping_pong.mak b/buildtools/builder6/ping_pong.mak similarity index 100% rename from build/builder6/ping_pong.mak rename to buildtools/builder6/ping_pong.mak diff --git a/build/builder6/ping_server.mak b/buildtools/builder6/ping_server.mak similarity index 100% rename from build/builder6/ping_server.mak rename to buildtools/builder6/ping_server.mak diff --git a/build/builder6/ping_simulator.mak b/buildtools/builder6/ping_simulator.mak similarity index 100% rename from build/builder6/ping_simulator.mak rename to buildtools/builder6/ping_simulator.mak diff --git a/build/builder6/simgrid.mak b/buildtools/builder6/simgrid.mak similarity index 100% rename from build/builder6/simgrid.mak rename to buildtools/builder6/simgrid.mak diff --git a/build/builder6/simgrid/dll/debug/simgrid.dll b/buildtools/builder6/simgrid/dll/debug/simgrid.dll similarity index 100% rename from build/builder6/simgrid/dll/debug/simgrid.dll rename to buildtools/builder6/simgrid/dll/debug/simgrid.dll diff --git a/build/builder6/simgrid/examples/obj/empty.txt b/buildtools/builder6/simgrid/examples/obj/empty.txt similarity index 100% rename from build/builder6/simgrid/examples/obj/empty.txt rename to buildtools/builder6/simgrid/examples/obj/empty.txt diff --git a/build/builder6/simgrid/examples/ping_pong.tds b/buildtools/builder6/simgrid/examples/ping_pong.tds similarity index 100% rename from build/builder6/simgrid/examples/ping_pong.tds rename to buildtools/builder6/simgrid/examples/ping_pong.tds diff --git a/build/builder6/simgrid/lib/debug/simgrid.lib b/buildtools/builder6/simgrid/lib/debug/simgrid.lib similarity index 100% rename from build/builder6/simgrid/lib/debug/simgrid.lib rename to buildtools/builder6/simgrid/lib/debug/simgrid.lib diff --git a/build/builder6/simgrid/obj/empty.txt b/buildtools/builder6/simgrid/obj/empty.txt similarity index 100% rename from build/builder6/simgrid/obj/empty.txt rename to buildtools/builder6/simgrid/obj/empty.txt diff --git a/build/builder6/simgrid/simgrid.c b/buildtools/builder6/simgrid/simgrid.c similarity index 100% rename from build/builder6/simgrid/simgrid.c rename to buildtools/builder6/simgrid/simgrid.c diff --git a/build/builder6/simgrid/tests/obj/empty.txt b/buildtools/builder6/simgrid/tests/obj/empty.txt similarity index 100% rename from build/builder6/simgrid/tests/obj/empty.txt rename to buildtools/builder6/simgrid/tests/obj/empty.txt diff --git a/build/builder6/simple_token_node.mak b/buildtools/builder6/simple_token_node.mak similarity index 100% rename from build/builder6/simple_token_node.mak rename to buildtools/builder6/simple_token_node.mak diff --git a/build/builder6/simple_token_simulator.mak b/buildtools/builder6/simple_token_simulator.mak similarity index 100% rename from build/builder6/simple_token_simulator.mak rename to buildtools/builder6/simple_token_simulator.mak diff --git a/build/builder6/surf_usage.bpf b/buildtools/builder6/surf_usage.bpf similarity index 100% rename from build/builder6/surf_usage.bpf rename to buildtools/builder6/surf_usage.bpf diff --git a/build/builder6/surf_usage.bpr b/buildtools/builder6/surf_usage.bpr similarity index 100% rename from build/builder6/surf_usage.bpr rename to buildtools/builder6/surf_usage.bpr diff --git a/build/builder6/surf_usage.mak b/buildtools/builder6/surf_usage.mak similarity index 100% rename from build/builder6/surf_usage.mak rename to buildtools/builder6/surf_usage.mak diff --git a/build/builder6/surf_usage2.bpf b/buildtools/builder6/surf_usage2.bpf similarity index 100% rename from build/builder6/surf_usage2.bpf rename to buildtools/builder6/surf_usage2.bpf diff --git a/build/builder6/surf_usage2.bpr b/buildtools/builder6/surf_usage2.bpr similarity index 100% rename from build/builder6/surf_usage2.bpr rename to buildtools/builder6/surf_usage2.bpr diff --git a/build/builder6/surf_usage2.mak b/buildtools/builder6/surf_usage2.mak similarity index 100% rename from build/builder6/surf_usage2.mak rename to buildtools/builder6/surf_usage2.mak diff --git a/build/builder6/timer_client.mak b/buildtools/builder6/timer_client.mak similarity index 100% rename from build/builder6/timer_client.mak rename to buildtools/builder6/timer_client.mak diff --git a/build/builder6/timer_simulator.mak b/buildtools/builder6/timer_simulator.mak similarity index 100% rename from build/builder6/timer_simulator.mak rename to buildtools/builder6/timer_simulator.mak diff --git a/build/builder6/trace_usage.bpf b/buildtools/builder6/trace_usage.bpf similarity index 100% rename from build/builder6/trace_usage.bpf rename to buildtools/builder6/trace_usage.bpf diff --git a/build/builder6/trace_usage.bpr b/buildtools/builder6/trace_usage.bpr similarity index 100% rename from build/builder6/trace_usage.bpr rename to buildtools/builder6/trace_usage.bpr diff --git a/build/builder6/trace_usage.mak b/buildtools/builder6/trace_usage.mak similarity index 100% rename from build/builder6/trace_usage.mak rename to buildtools/builder6/trace_usage.mak diff --git a/build/builder6/trp_tcp_client.bpf b/buildtools/builder6/trp_tcp_client.bpf similarity index 100% rename from build/builder6/trp_tcp_client.bpf rename to buildtools/builder6/trp_tcp_client.bpf diff --git a/build/builder6/trp_tcp_client.bpr b/buildtools/builder6/trp_tcp_client.bpr similarity index 100% rename from build/builder6/trp_tcp_client.bpr rename to buildtools/builder6/trp_tcp_client.bpr diff --git a/build/builder6/trp_tcp_client.mak b/buildtools/builder6/trp_tcp_client.mak similarity index 100% rename from build/builder6/trp_tcp_client.mak rename to buildtools/builder6/trp_tcp_client.mak diff --git a/build/builder6/trp_tcp_server.bpf b/buildtools/builder6/trp_tcp_server.bpf similarity index 100% rename from build/builder6/trp_tcp_server.bpf rename to buildtools/builder6/trp_tcp_server.bpf diff --git a/build/builder6/trp_tcp_server.bpr b/buildtools/builder6/trp_tcp_server.bpr similarity index 100% rename from build/builder6/trp_tcp_server.bpr rename to buildtools/builder6/trp_tcp_server.bpr diff --git a/build/builder6/trp_tcp_server.mak b/buildtools/builder6/trp_tcp_server.mak similarity index 100% rename from build/builder6/trp_tcp_server.mak rename to buildtools/builder6/trp_tcp_server.mak diff --git a/build/vc7/cxx/SimGridX.c b/buildtools/vc7/cxx/SimGridX.c similarity index 100% rename from build/vc7/cxx/SimGridX.c rename to buildtools/vc7/cxx/SimGridX.c diff --git a/build/vc7/cxx/SimGridX/SimGridX.vcproj b/buildtools/vc7/cxx/SimGridX/SimGridX.vcproj similarity index 100% rename from build/vc7/cxx/SimGridX/SimGridX.vcproj rename to buildtools/vc7/cxx/SimGridX/SimGridX.vcproj diff --git a/build/vc7/examples/cxx/autoDestination/autoDestination.vcproj b/buildtools/vc7/examples/cxx/autoDestination/autoDestination.vcproj similarity index 100% rename from build/vc7/examples/cxx/autoDestination/autoDestination.vcproj rename to buildtools/vc7/examples/cxx/autoDestination/autoDestination.vcproj diff --git a/build/vc7/examples/cxx/basic/basic.vcproj b/buildtools/vc7/examples/cxx/basic/basic.vcproj similarity index 100% rename from build/vc7/examples/cxx/basic/basic.vcproj rename to buildtools/vc7/examples/cxx/basic/basic.vcproj diff --git a/build/vc7/examples/cxx/comm_time/comm_time.vcproj b/buildtools/vc7/examples/cxx/comm_time/comm_time.vcproj similarity index 100% rename from build/vc7/examples/cxx/comm_time/comm_time.vcproj rename to buildtools/vc7/examples/cxx/comm_time/comm_time.vcproj diff --git a/build/vc7/examples/cxx/explicitDestination/explicitDestination.vcproj b/buildtools/vc7/examples/cxx/explicitDestination/explicitDestination.vcproj similarity index 100% rename from build/vc7/examples/cxx/explicitDestination/explicitDestination.vcproj rename to buildtools/vc7/examples/cxx/explicitDestination/explicitDestination.vcproj diff --git a/build/vc7/examples/cxx/ping_pong/ping_pong.vcproj b/buildtools/vc7/examples/cxx/ping_pong/ping_pong.vcproj similarity index 100% rename from build/vc7/examples/cxx/ping_pong/ping_pong.vcproj rename to buildtools/vc7/examples/cxx/ping_pong/ping_pong.vcproj diff --git a/build/vc7/examples/cxx/suspend/suspend.vcproj b/buildtools/vc7/examples/cxx/suspend/suspend.vcproj similarity index 100% rename from build/vc7/examples/cxx/suspend/suspend.vcproj rename to buildtools/vc7/examples/cxx/suspend/suspend.vcproj diff --git a/build/vc7/examples/msg/masterslave/masterslave.vcproj b/buildtools/vc7/examples/msg/masterslave/masterslave.vcproj similarity index 100% rename from build/vc7/examples/msg/masterslave/masterslave.vcproj rename to buildtools/vc7/examples/msg/masterslave/masterslave.vcproj diff --git a/build/vc7/examples/msg/masterslave_bypass/masterslave_bypass.vcproj b/buildtools/vc7/examples/msg/masterslave_bypass/masterslave_bypass.vcproj similarity index 100% rename from build/vc7/examples/msg/masterslave_bypass/masterslave_bypass.vcproj rename to buildtools/vc7/examples/msg/masterslave_bypass/masterslave_bypass.vcproj diff --git a/build/vc7/examples/msg/masterslave_failure/masterslave_failure.vcproj b/buildtools/vc7/examples/msg/masterslave_failure/masterslave_failure.vcproj similarity index 100% rename from build/vc7/examples/msg/masterslave_failure/masterslave_failure.vcproj rename to buildtools/vc7/examples/msg/masterslave_failure/masterslave_failure.vcproj diff --git a/build/vc7/examples/msg/masterslave_forwarde/masterslave_forwarde.vcproj b/buildtools/vc7/examples/msg/masterslave_forwarde/masterslave_forwarde.vcproj similarity index 100% rename from build/vc7/examples/msg/masterslave_forwarde/masterslave_forwarde.vcproj rename to buildtools/vc7/examples/msg/masterslave_forwarde/masterslave_forwarde.vcproj diff --git a/build/vc7/examples/msg/masterslave_forwarder_with_alias/masterslave_forwarder_with_alias.vcproj b/buildtools/vc7/examples/msg/masterslave_forwarder_with_alias/masterslave_forwarder_with_alias.vcproj similarity index 100% rename from build/vc7/examples/msg/masterslave_forwarder_with_alias/masterslave_forwarder_with_alias.vcproj rename to buildtools/vc7/examples/msg/masterslave_forwarder_with_alias/masterslave_forwarder_with_alias.vcproj diff --git a/build/vc7/examples/msg/msg_prop/msg_prop.vcproj b/buildtools/vc7/examples/msg/msg_prop/msg_prop.vcproj similarity index 100% rename from build/vc7/examples/msg/msg_prop/msg_prop.vcproj rename to buildtools/vc7/examples/msg/msg_prop/msg_prop.vcproj diff --git a/build/vc7/examples/msg/parallel_task/parallel_task.vcproj b/buildtools/vc7/examples/msg/parallel_task/parallel_task.vcproj similarity index 100% rename from build/vc7/examples/msg/parallel_task/parallel_task.vcproj rename to buildtools/vc7/examples/msg/parallel_task/parallel_task.vcproj diff --git a/build/vc7/examples/msg/priority/priority.vcproj b/buildtools/vc7/examples/msg/priority/priority.vcproj similarity index 100% rename from build/vc7/examples/msg/priority/priority.vcproj rename to buildtools/vc7/examples/msg/priority/priority.vcproj diff --git a/build/vc7/examples/msg/sendrecv/sendrecv.vcproj b/buildtools/vc7/examples/msg/sendrecv/sendrecv.vcproj similarity index 100% rename from build/vc7/examples/msg/sendrecv/sendrecv.vcproj rename to buildtools/vc7/examples/msg/sendrecv/sendrecv.vcproj diff --git a/build/vc7/examples/msg/suspend/suspend.vcproj b/buildtools/vc7/examples/msg/suspend/suspend.vcproj similarity index 100% rename from build/vc7/examples/msg/suspend/suspend.vcproj rename to buildtools/vc7/examples/msg/suspend/suspend.vcproj diff --git a/build/vc7/examples/simdag/metaxml/metaxml/metaxml.vcproj b/buildtools/vc7/examples/simdag/metaxml/metaxml/metaxml.vcproj similarity index 100% rename from build/vc7/examples/simdag/metaxml/metaxml/metaxml.vcproj rename to buildtools/vc7/examples/simdag/metaxml/metaxml/metaxml.vcproj diff --git a/build/vc7/examples/simdag/sd_prop/sd_prop.vcproj b/buildtools/vc7/examples/simdag/sd_prop/sd_prop.vcproj similarity index 100% rename from build/vc7/examples/simdag/sd_prop/sd_prop.vcproj rename to buildtools/vc7/examples/simdag/sd_prop/sd_prop.vcproj diff --git a/build/vc7/examples/simdag/sd_test/sd_test.vcproj b/buildtools/vc7/examples/simdag/sd_test/sd_test.vcproj similarity index 100% rename from build/vc7/examples/simdag/sd_test/sd_test.vcproj rename to buildtools/vc7/examples/simdag/sd_test/sd_test.vcproj diff --git a/build/vc7/examples/simdag/sd_test2/sd_test2.vcproj b/buildtools/vc7/examples/simdag/sd_test2/sd_test2.vcproj similarity index 100% rename from build/vc7/examples/simdag/sd_test2/sd_test2.vcproj rename to buildtools/vc7/examples/simdag/sd_test2/sd_test2.vcproj diff --git a/build/vc7/examples/xbt/sem_basic/sem_basic.vcproj b/buildtools/vc7/examples/xbt/sem_basic/sem_basic.vcproj similarity index 100% rename from build/vc7/examples/xbt/sem_basic/sem_basic.vcproj rename to buildtools/vc7/examples/xbt/sem_basic/sem_basic.vcproj diff --git a/build/vc7/examples/xbt/sem_sched/sem_sched.vcproj b/buildtools/vc7/examples/xbt/sem_sched/sem_sched.vcproj similarity index 100% rename from build/vc7/examples/xbt/sem_sched/sem_sched.vcproj rename to buildtools/vc7/examples/xbt/sem_sched/sem_sched.vcproj diff --git a/build/vc7/simgrid/all.sln b/buildtools/vc7/simgrid/all.sln similarity index 100% rename from build/vc7/simgrid/all.sln rename to buildtools/vc7/simgrid/all.sln diff --git a/build/vc7/simgrid/simgrid.c b/buildtools/vc7/simgrid/simgrid.c similarity index 100% rename from build/vc7/simgrid/simgrid.c rename to buildtools/vc7/simgrid/simgrid.c diff --git a/build/vc7/simgrid/simgrid.vcproj b/buildtools/vc7/simgrid/simgrid.vcproj similarity index 100% rename from build/vc7/simgrid/simgrid.vcproj rename to buildtools/vc7/simgrid/simgrid.vcproj diff --git a/build/vc7/simgrid/w32_makefile b/buildtools/vc7/simgrid/w32_makefile similarity index 100% rename from build/vc7/simgrid/w32_makefile rename to buildtools/vc7/simgrid/w32_makefile diff --git a/build/vc7/simgrid4java/libSimgrid4java.c b/buildtools/vc7/simgrid4java/libSimgrid4java.c similarity index 100% rename from build/vc7/simgrid4java/libSimgrid4java.c rename to buildtools/vc7/simgrid4java/libSimgrid4java.c diff --git a/build/vc7/simgrid4java/libSimgrid4java.sln b/buildtools/vc7/simgrid4java/libSimgrid4java.sln similarity index 100% rename from build/vc7/simgrid4java/libSimgrid4java.sln rename to buildtools/vc7/simgrid4java/libSimgrid4java.sln diff --git a/build/vc7/simgrid4java/libSimgrid4java.vcproj b/buildtools/vc7/simgrid4java/libSimgrid4java.vcproj similarity index 100% rename from build/vc7/simgrid4java/libSimgrid4java.vcproj rename to buildtools/vc7/simgrid4java/libSimgrid4java.vcproj diff --git a/build/vc7/teshsuite/simdag/basic0/basic0.vcproj b/buildtools/vc7/teshsuite/simdag/basic0/basic0.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/basic0/basic0.vcproj rename to buildtools/vc7/teshsuite/simdag/basic0/basic0.vcproj diff --git a/build/vc7/teshsuite/simdag/basic1/basic1.vcproj b/buildtools/vc7/teshsuite/simdag/basic1/basic1.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/basic1/basic1.vcproj rename to buildtools/vc7/teshsuite/simdag/basic1/basic1.vcproj diff --git a/build/vc7/teshsuite/simdag/basic2/basic2.vcproj b/buildtools/vc7/teshsuite/simdag/basic2/basic2.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/basic2/basic2.vcproj rename to buildtools/vc7/teshsuite/simdag/basic2/basic2.vcproj diff --git a/build/vc7/teshsuite/simdag/basic3/basic3.vcproj b/buildtools/vc7/teshsuite/simdag/basic3/basic3.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/basic3/basic3.vcproj rename to buildtools/vc7/teshsuite/simdag/basic3/basic3.vcproj diff --git a/build/vc7/teshsuite/simdag/basic4/basic4.vcproj b/buildtools/vc7/teshsuite/simdag/basic4/basic4.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/basic4/basic4.vcproj rename to buildtools/vc7/teshsuite/simdag/basic4/basic4.vcproj diff --git a/build/vc7/teshsuite/simdag/basic5/basic5.vcproj b/buildtools/vc7/teshsuite/simdag/basic5/basic5.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/basic5/basic5.vcproj rename to buildtools/vc7/teshsuite/simdag/basic5/basic5.vcproj diff --git a/build/vc7/teshsuite/simdag/basic6/basic6.vcproj b/buildtools/vc7/teshsuite/simdag/basic6/basic6.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/basic6/basic6.vcproj rename to buildtools/vc7/teshsuite/simdag/basic6/basic6.vcproj diff --git a/build/vc7/teshsuite/simdag/network/mxn/test_intra_all2all/test_intra_all2all.vcproj b/buildtools/vc7/teshsuite/simdag/network/mxn/test_intra_all2all/test_intra_all2all.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/network/mxn/test_intra_all2all/test_intra_all2all.vcproj rename to buildtools/vc7/teshsuite/simdag/network/mxn/test_intra_all2all/test_intra_all2all.vcproj diff --git a/build/vc7/teshsuite/simdag/network/mxn/test_intra_independent_comm/test_intra_independent_comm.vcproj b/buildtools/vc7/teshsuite/simdag/network/mxn/test_intra_independent_comm/test_intra_independent_comm.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/network/mxn/test_intra_independent_comm/test_intra_independent_comm.vcproj rename to buildtools/vc7/teshsuite/simdag/network/mxn/test_intra_independent_comm/test_intra_independent_comm.vcproj diff --git a/build/vc7/teshsuite/simdag/network/mxn/test_intra_scatter/test_intra_scatter.vcproj b/buildtools/vc7/teshsuite/simdag/network/mxn/test_intra_scatter/test_intra_scatter.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/network/mxn/test_intra_scatter/test_intra_scatter.vcproj rename to buildtools/vc7/teshsuite/simdag/network/mxn/test_intra_scatter/test_intra_scatter.vcproj diff --git a/build/vc7/teshsuite/simdag/network/p2p/test_latency1/test_latency1.vcproj b/buildtools/vc7/teshsuite/simdag/network/p2p/test_latency1/test_latency1.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/network/p2p/test_latency1/test_latency1.vcproj rename to buildtools/vc7/teshsuite/simdag/network/p2p/test_latency1/test_latency1.vcproj diff --git a/build/vc7/teshsuite/simdag/network/p2p/test_latency2/test_latency2.vcproj b/buildtools/vc7/teshsuite/simdag/network/p2p/test_latency2/test_latency2.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/network/p2p/test_latency2/test_latency2.vcproj rename to buildtools/vc7/teshsuite/simdag/network/p2p/test_latency2/test_latency2.vcproj diff --git a/build/vc7/teshsuite/simdag/network/p2p/test_latency3/test_latency3.vcproj b/buildtools/vc7/teshsuite/simdag/network/p2p/test_latency3/test_latency3.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/network/p2p/test_latency3/test_latency3.vcproj rename to buildtools/vc7/teshsuite/simdag/network/p2p/test_latency3/test_latency3.vcproj diff --git a/build/vc7/teshsuite/simdag/network/p2p/test_latency_bound/test_latency_bound.vcproj b/buildtools/vc7/teshsuite/simdag/network/p2p/test_latency_bound/test_latency_bound.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/network/p2p/test_latency_bound/test_latency_bound.vcproj rename to buildtools/vc7/teshsuite/simdag/network/p2p/test_latency_bound/test_latency_bound.vcproj diff --git a/build/vc7/teshsuite/simdag/network/test_reinit_costs/test_reinit_costs.vcproj b/buildtools/vc7/teshsuite/simdag/network/test_reinit_costs/test_reinit_costs.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/network/test_reinit_costs/test_reinit_costs.vcproj rename to buildtools/vc7/teshsuite/simdag/network/test_reinit_costs/test_reinit_costs.vcproj diff --git a/build/vc7/teshsuite/simdag/partask/test_comp_only_par/test_comp_only_par.vcproj b/buildtools/vc7/teshsuite/simdag/partask/test_comp_only_par/test_comp_only_par.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/partask/test_comp_only_par/test_comp_only_par.vcproj rename to buildtools/vc7/teshsuite/simdag/partask/test_comp_only_par/test_comp_only_par.vcproj diff --git a/build/vc7/teshsuite/simdag/partask/test_comp_only_seq/test_comp_only_seq.vcproj b/buildtools/vc7/teshsuite/simdag/partask/test_comp_only_seq/test_comp_only_seq.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/partask/test_comp_only_seq/test_comp_only_seq.vcproj rename to buildtools/vc7/teshsuite/simdag/partask/test_comp_only_seq/test_comp_only_seq.vcproj diff --git a/build/vc7/teshsuite/simdag/platforms/basic_parsing_test/basic_parsing_test.vcproj b/buildtools/vc7/teshsuite/simdag/platforms/basic_parsing_test/basic_parsing_test.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/platforms/basic_parsing_test/basic_parsing_test.vcproj rename to buildtools/vc7/teshsuite/simdag/platforms/basic_parsing_test/basic_parsing_test.vcproj diff --git a/build/vc7/teshsuite/simdag/platforms/flatifier/flatifier.vcproj b/buildtools/vc7/teshsuite/simdag/platforms/flatifier/flatifier.vcproj similarity index 100% rename from build/vc7/teshsuite/simdag/platforms/flatifier/flatifier.vcproj rename to buildtools/vc7/teshsuite/simdag/platforms/flatifier/flatifier.vcproj diff --git a/build/vc7/teshsuite/xbt/log_large_test/log_large_test.vcproj b/buildtools/vc7/teshsuite/xbt/log_large_test/log_large_test.vcproj similarity index 100% rename from build/vc7/teshsuite/xbt/log_large_test/log_large_test.vcproj rename to buildtools/vc7/teshsuite/xbt/log_large_test/log_large_test.vcproj diff --git a/build/vc7/testsuite/simdag/sd_test/sd_testsuite.vcproj b/buildtools/vc7/testsuite/simdag/sd_test/sd_testsuite.vcproj similarity index 100% rename from build/vc7/testsuite/simdag/sd_test/sd_testsuite.vcproj rename to buildtools/vc7/testsuite/simdag/sd_test/sd_testsuite.vcproj diff --git a/build/vc7/testsuite/surf/lmm_usage/lmm_usage.vcproj b/buildtools/vc7/testsuite/surf/lmm_usage/lmm_usage.vcproj similarity index 100% rename from build/vc7/testsuite/surf/lmm_usage/lmm_usage.vcproj rename to buildtools/vc7/testsuite/surf/lmm_usage/lmm_usage.vcproj diff --git a/build/vc7/testsuite/surf/maxmin_bench/maxmin_bench.vcproj b/buildtools/vc7/testsuite/surf/maxmin_bench/maxmin_bench.vcproj similarity index 100% rename from build/vc7/testsuite/surf/maxmin_bench/maxmin_bench.vcproj rename to buildtools/vc7/testsuite/surf/maxmin_bench/maxmin_bench.vcproj diff --git a/build/vc7/testsuite/surf/surf_usage/surf_usage.vcproj b/buildtools/vc7/testsuite/surf/surf_usage/surf_usage.vcproj similarity index 100% rename from build/vc7/testsuite/surf/surf_usage/surf_usage.vcproj rename to buildtools/vc7/testsuite/surf/surf_usage/surf_usage.vcproj diff --git a/build/vc7/testsuite/surf/surf_usage2/surf_usage2.vcproj b/buildtools/vc7/testsuite/surf/surf_usage2/surf_usage2.vcproj similarity index 100% rename from build/vc7/testsuite/surf/surf_usage2/surf_usage2.vcproj rename to buildtools/vc7/testsuite/surf/surf_usage2/surf_usage2.vcproj diff --git a/build/vc7/testsuite/surf/trace_usage/trace_usage.vcproj b/buildtools/vc7/testsuite/surf/trace_usage/trace_usage.vcproj similarity index 100% rename from build/vc7/testsuite/surf/trace_usage/trace_usage.vcproj rename to buildtools/vc7/testsuite/surf/trace_usage/trace_usage.vcproj diff --git a/build/vc7/testsuite/xbt/context_usage/context_usage.vcproj b/buildtools/vc7/testsuite/xbt/context_usage/context_usage.vcproj similarity index 100% rename from build/vc7/testsuite/xbt/context_usage/context_usage.vcproj rename to buildtools/vc7/testsuite/xbt/context_usage/context_usage.vcproj diff --git a/build/vc7/testsuite/xbt/graphxml_usage/graphxml_usage.vcproj b/buildtools/vc7/testsuite/xbt/graphxml_usage/graphxml_usage.vcproj similarity index 100% rename from build/vc7/testsuite/xbt/graphxml_usage/graphxml_usage.vcproj rename to buildtools/vc7/testsuite/xbt/graphxml_usage/graphxml_usage.vcproj diff --git a/build/vc7/testsuite/xbt/heap_bench/heap_bench.vcproj b/buildtools/vc7/testsuite/xbt/heap_bench/heap_bench.vcproj similarity index 100% rename from build/vc7/testsuite/xbt/heap_bench/heap_bench.vcproj rename to buildtools/vc7/testsuite/xbt/heap_bench/heap_bench.vcproj diff --git a/build/vc7/testsuite/xbt/log_usage/log_usage.vcproj b/buildtools/vc7/testsuite/xbt/log_usage/log_usage.vcproj similarity index 100% rename from build/vc7/testsuite/xbt/log_usage/log_usage.vcproj rename to buildtools/vc7/testsuite/xbt/log_usage/log_usage.vcproj