Logo AND Algorithmique Numérique Distribuée

Public GIT Repository
document addition of new simdag dot loader
[simgrid.git] / .gitignore
index 56373c1..c611ce7 100644 (file)
-checkall.log\r
-TAGS\r
-*output_tesh*.txt\r
-*.exe\r
-*.bpr\r
-*.bpf\r
-*.dsp\r
-*.ilk\r
-*.mk\r
-*.l[oa]\r
-*.loT\r
-*.[oa]\r
-*.class\r
-.libs\r
-.deps\r
-.dirstamp\r
-stamp*\r
-.emacs.desktop\r
-CMakeFiles\r
-CMakeCache.txt\r
-DartConfiguration.tcl\r
-Testing/\r
-Makefile\r
-test_rl\r
-test_sg\r
-_*.c\r
-*~\r
-*.swp\r
-*_simulator\r
-*_old.xml\r
-*.log\r
-*.trace\r
-*.orig\r
-*.rej\r
-\r
-config.log\r
-config.status\r
-config.cache\r
-config.guess\r
-config.sub\r
-Makefile.in\r
-autom4te.cache\r
-aclocal.m4\r
-acmacro/libtool.m4\r
-acmacro/ltoptions.m4\r
-acmacro/ltsugar.m4\r
-acmacro/ltversion.m4\r
-acmacro/lt~obsolete.m4\r
-configure\r
-install-sh\r
-libtool\r
-ltmain.sh\r
-depcomp\r
-missing\r
-texinfo.tex\r
-\r
-simgrid.jar\r
-src/simgrid.jar\r
-src/bindings/ruby/simgrid.so\r
-examples/ruby/simgrid.so\r
-examples/lua/simgrid.so\r
-src/bindings/ruby/libsimgrid.so\r
-examples/gras/console/simgrid.so\r
-examples/msg/icomms/cmd.sh\r
-examples/msg/masterslave/simgrid.so\r
-examples/simdag/simgrid.so\r
-\r
-examples/msg/ms.trace\r
-examples/msg/procmig.trace\r
-examples/msg/tasks.trace\r
-examples/msg/volume.trace\r
-examples/msg/zmsg_test.trace\r
-examples/msg/categories.trace\r
-examples/msg/masterslave/toto.txt\r
-examples/msg/simulation.trace\r
-examples/msg/toto.txt\r
-examples/msg/z_gtnets.trace\r
-examples/msg/tracing/procmig\r
-examples/msg/tracing/tasks\r
-examples/msg/tracing/volume\r
-examples/msg/mc/bugged3\r
-examples/msg/mc/random_test\r
-examples/simdag/ex_sd_seq_access\r
-examples/simdag/sd_seq_access\r
-examples/msg/icomms/peer\r
-examples/msg/icomms/peer2\r
-\r
-examples/gras/console/ping_client_console\r
-examples/gras/console/ping_server_console\r
-examples/gras/console/ping_simulator_console\r
-examples/msg/icomms/.kdbgrc.peer3\r
-examples/msg/icomms/peer3\r
-examples/msg/icomms/toto.txt\r
-examples/msg/masterslave/masterslave_console\r
-examples/simdag/ex_sd_test_console\r
-examples/simdag/sd_test_console\r
-examples/simdag/scheduling/Montage_25.jed\r
-\r
-examples/msg/irc_isend/peer\r
-examples/msg/irc_isend/toto.txt\r
-examples/simdag/dot/dot_test\r
-\r
-src/simgrid_units_main.c\r
-*_unit.c\r
-src/supernovae_*.c\r
-\r
-buildtools/Cmake/tmp_gras_config.h.in\r
-buildtools/Cmake/tmp_tracing_config.h.in\r
-make_simgrid_java.sh\r
-\r
-testgraph.dot\r
-testgraph.xml\r
-conftestval\r
-cmake_install.cmake\r
-CMakeFiles\r
-install_manifest.txt\r
-_CPack_Packages/\r
-\r
-bibtex2html-1.02/\r
-\r
-examples/smpi/toto.txt\r
-src/gras_config.h.in\r
-tools/graspe_master\r
-examples/java/*/classnoinst.stamp\r
-buildtools/Cmake/test_prog/prog_va_copy.c\r
-###\r
-doc/all_bib.html\r
-doc/all_bib.latin1.html\r
-doc/all_bib.latin1.html.tmp\r
-doc/logcategories.sh\r
-doc/publis_core.bib\r
-doc/publis_core_bib.html\r
-doc/publis_core_bib.latin1.html\r
-doc/publis_core_bib.latin1.html.tmp\r
-doc/publis_count.html\r
-doc/publis_extern.bib\r
-doc/publis_extern_bib.html\r
-doc/publis_extern_bib.latin1.html\r
-doc/publis_extern_bib.latin1.html.tmp\r
-doc/publis_intra.bib\r
-doc/publis_intra_bib.html\r
-doc/publis_intra_bib.latin1.html\r
-doc/publis_intra_bib.latin1.html.tmp\r
-doc/realtoc.sh\r
-doc/tmp.realtoc\r
-doc/using_bib.html\r
-doc/using_bib.latin1.html\r
-doc/using_bib.latin1.html.tmp\r
-\r
-doc/Doxyfile\r
-include/simgrid_config.h\r
-include/instr/tracing_config.h\r
-examples/amok/bandwidth/bandwidth_maestro\r
-examples/amok/bandwidth/bandwidth_sensor\r
-examples/amok/saturate/saturate_maestro\r
-examples/amok/saturate/saturate_sensor\r
-examples/gras/all2all/all2all_receiver\r
-examples/gras/all2all/all2all_sender\r
-examples/gras/chrono/chrono_multiplier\r
-examples/gras/mmrpc/mmrpc_client\r
-examples/gras/mmrpc/mmrpc_server\r
-examples/gras/mutual_exclusion/simple_token/simple_token_node\r
-examples/gras/mutual_exclusion/simple_token/simple_token_checker\r
-examples/gras/ping/ping_client\r
-examples/gras/ping/ping_server\r
-examples/gras/ping/ping_checker\r
-examples/gras/pmm/pmm_master\r
-examples/gras/pmm/pmm_slave\r
-examples/gras/properties/properties_alice\r
-examples/gras/properties/properties_bob\r
-examples/gras/properties/properties_master\r
-examples/gras/properties/properties_slave\r
-examples/gras/rpc/rpc_client\r
-examples/gras/rpc/rpc_forwarder\r
-examples/gras/rpc/rpc_server\r
-examples/gras/spawn/spawn_server\r
-examples/gras/synchro/synchro_philosopher\r
-examples/gras/timer/timer_client\r
-examples/gras/replay/replay_simulator\r
-examples/gras/replay/replay_master\r
-examples/gras/replay/replay_worker\r
-examples/msg/actions/actions\r
-examples/msg/gtnets/gtnets\r
-examples/msg/masterslave/masterslave_bypass\r
-examples/msg/masterslave/masterslave_failure\r
-examples/msg/masterslave/masterslave_forwarder\r
-examples/msg/masterslave/masterslave_mailbox\r
-examples/msg/migration/migration\r
-examples/msg/parallel_task/parallel_task\r
-examples/msg/parallel_task/test_ptask\r
-examples/msg/priority/priority\r
-examples/msg/properties/msg_prop\r
-examples/msg/sendrecv/sendrecv\r
-examples/msg/suspend/suspend\r
-examples/msg/trace/test_trace_integration\r
-examples/msg/mc/centralized\r
-examples/msg/mc/bugged2\r
-examples/msg/mc/bugged1\r
-examples/simdag/ex_sd_test\r
-examples/simdag/ex_sd_test2\r
-examples/simdag/metaxml/sd_meta\r
-examples/simdag/properties/sd_prop\r
-examples/simdag/sd_test\r
-examples/simdag/sd_test2\r
-examples/simdag/dax/dax_test\r
-examples/simdag/scheduling/minmin_test\r
-examples/smpi/reduce\r
-examples/smpi/bcast\r
-examples/smpi/bcbench\r
-examples/smpi/compute\r
-examples/smpi/compute2\r
-examples/smpi/compute3\r
-examples/smpi/first\r
-examples/smpi/mvmul\r
-examples/smpi/ring_c\r
-examples/smpi/second\r
-examples/smpi/split\r
-examples/smpi/allreduce\r
-examples/smpi/pingpong\r
-examples/smpi/scatter\r
-examples/smpi/alltoall2\r
-examples/smpi/alltoall_basic\r
-examples/smpi/alltoallv\r
-examples/smpi/sendrecv\r
-examples/smpi/smpi_sendrecv\r
-simgrid-*-svn/*\r
-src/context_sysv_config.h\r
-src/gras_config.h\r
-src/smpi/smpicc\r
-src/smpi/smpirun\r
-src/bindings/lua/libsimgrid.so\r
-src/testall\r
-src/ucontext_stack.h\r
-teshsuite/datadesc_usage.out\r
-teshsuite/gras/datadesc/datadesc_usage\r
-teshsuite/gras/empty_main/empty_main_function\r
-teshsuite/gras/msg_handle/msg_handle_client\r
-teshsuite/gras/msg_handle/msg_handle_server\r
-teshsuite/gras/small_sleep/log.txt\r
-teshsuite/gras/small_sleep/small_sleep_function\r
-teshsuite/gras/modelcheck/modelcheck_checker\r
-teshsuite/msg/get_sender\r
-teshsuite/simdag/basic0\r
-teshsuite/simdag/basic1\r
-teshsuite/simdag/basic2\r
-teshsuite/simdag/basic3\r
-teshsuite/simdag/basic4\r
-teshsuite/simdag/basic5\r
-teshsuite/simdag/basic6\r
-teshsuite/simdag/network/mxn/test_intra_all2all\r
-teshsuite/simdag/network/mxn/test_intra_independent_comm\r
-teshsuite/simdag/network/mxn/test_intra_scatter\r
-teshsuite/simdag/network/p2p/test_latency1\r
-teshsuite/simdag/network/p2p/test_latency2\r
-teshsuite/simdag/network/p2p/test_latency3\r
-teshsuite/simdag/network/p2p/test_latency_bound\r
-teshsuite/simdag/network/test_reinit_costs\r
-teshsuite/simdag/platforms/basic_parsing_test\r
-teshsuite/simdag/platforms/flatifier\r
-teshsuite/simdag/partask/test_comp_only_par\r
-teshsuite/simdag/partask/test_comp_only_seq\r
-teshsuite/xbt/log_large_test\r
-teshsuite/xbt/parallel_log_crashtest\r
-testsuite/run_tests\r
-testsuite/simdag/sd_test\r
-testsuite/surf/lmm_usage\r
-testsuite/surf/maxmin_bench\r
-testsuite/surf/surf_usage\r
-testsuite/surf/surf_usage2\r
-testsuite/surf/trace_usage\r
-testsuite/testgraph.dot\r
-testsuite/testgraph.xml\r
-testsuite/xbt/context_usage\r
-testsuite/xbt/graphxml_usage\r
-testsuite/xbt/heap_bench\r
-testsuite/xbt/log_usage\r
-tools/gras/gras_stub_generator\r
-tools/graspe-slave\r
-tools/tesh/tesh\r
-examples/msg/tracing/categories\r
-examples/msg/tracing/ms\r
-teshsuite/simdag/platforms/is_router_test\r
-examples/msg/masterslave/masterslave_cluster\r
-examples/simdag/simdag_tracing\r
-\r
-.cproject\r
-.project\r
-.settings/\r
-\r
-.externalToolBuilders/\r
-Debug/\r
-bin/\r
-lib/\r
-buildtools/Cmake/transfert.sh\r
-buildtools/pipol/install_cmake.sh\r
+################################################
+###OTHER CAT
+*.l[oa]
+*.loT
+*.[oa]
+*~
+*.log
+*_simulator
+*.mk
+*.swp
+*.patch
+*.plist
+*.trace
+
+################################################
+### Maintainer mode
+src/simdag/dax_dtd.l
+src/surf/simgrid_dtd.l
+src/xbt/graphxml.l
+
+################################################
+### Jedule
+examples/simdag/scheduling/simgrid.jed
+teshsuite/simdag/platforms/simgrid.jed
+
+################################################
+### Libraries links 
+src/bindings/ruby/simgrid.so
+examples/ruby/simgrid.so
+examples/lua/simgrid.so
+src/bindings/ruby/libsimgrid.so
+examples/msg/masterslave/simgrid.so
+examples/simdag/simgrid.so
+examples/lua/console/simgrid.so
+
+################################################
+### Units and supernovae files
+src/simgrid_units_main.c
+src/*_unit.c
+src/supernovae_*.c
+
+################################################
+### Generated files
+_*.c
+include/simgrid_config.h
+include/xbt/version.h
+include/instr/tracing_config.h
+include/smpi/smpif.h
+src/context_sysv_config.h
+src/internal_config.h
+src/smpi/smpicc
+src/smpi/smpirun
+simgrid-*.tar.gz
+
+################################################
+### Cmake files
+CPackConfig.cmake
+CPackSourceConfig.cmake
+CTestTestfile.cmake
+conftestval
+cmake_install.cmake
+CMakeFiles
+install_manifest.txt
+_CPack_Packages/
+CMakeFiles
+CMakeCache.txt
+DartConfiguration.tcl
+simgrid.nsi
+Testing/
+Makefile
+bin/
+lib/
+manpages/
+build_dir/
+build/
+buildtools/Cmake/memcheck_tests.cmake
+buildtools/Cmake/test_prog/prog_va_copy.c
+
+
+################################################
+### windows borland
+*.bpr
+*.bpf
+
+################################################
+### Documentation
+doc/all_bib.html
+doc/logcategories.sh
+doc/realtoc.sh
+doc/tmp.realtoc
+doc/using_bib.html
+doc/Doxyfile
+doc/footer.html
+*.doc.toc
+doc/latex/
+doc/html
+doc/user_guide/html
+doc/user_guide/latex
+doc/ref_guide/html
+doc/ref_guide/latex
+doc/dev_guide/html
+doc/dev_guide/latex
+doc/index.php
+doc/simgrid.tag
+doc/doxygen/logcategories.doc
+doc/index-API.doc
+doc/simgrid_modules.map
+
+################################################
+### Specific of project 
+.cproject
+.project
+.settings/
 .anjuta
 .anjuta_sym_db.db
 simgrid.anjuta
+.externalToolBuilders/
+Debug/
+.emacs.desktop
+tags
+callgrind.out.*
+
+################################################
+### Examples and traces
+*.exe
+testgraph.dot
+testgraph.xml
+examples/msg/ms.trace
+examples/msg/procmig.trace
+examples/msg/tasks.trace
+examples/msg/volume.trace
+examples/msg/zmsg_test.trace
+examples/msg/categories.trace
+examples/msg/masterslave/toto.txt
+examples/msg/simulation.trace
+examples/msg/toto.txt
+examples/msg/z_gtnets.trace
+examples/msg/gpu/test_MSG_gpu_task_create
+examples/msg/cloud/masterslave_virtual_machines
+examples/msg/tracing/link_srcdst_user_variables
+examples/msg/tracing/link_user_variables
+examples/msg/tracing/simple
+examples/msg/tracing/trace_platform
+examples/msg/tracing/user_variables
+examples/msg/tracing/procmig
+examples/msg/tracing/tasks
+examples/msg/tracing/volume
+examples/msg/io/file
+examples/msg/io/file_unlink
+examples/msg/mc/bugged3
+examples/msg/mc/random_test
+examples/msg/mc/bugged1_for_liveness
+examples/msg/mc/bugged1_while_liveness
+examples/msg/mc/centralized_liveness_deadlock
+examples/msg/mc/test/test_heap_comparison
+examples/msg/mc/chord_liveness
+examples/msg/mc/test/snapshot_comparison_liveness1
+examples/msg/mc/test/snapshot_comparison_liveness2
+examples/msg/mc/test/snapshot_comparison_liveness3
+examples/msg/mc/test/snapshot_comparison_liveness4
+examples/msg/mc/test/snapshot_comparison_liveness5
+examples/msg/chainsend/chainsend
+examples/msg/chord/chord
+examples/msg/chord/chord_stateful
+examples/msg/chord/deployments
+examples/msg/chord/exp_*
+examples/msg/token_ring/token_ring
+examples/msg/token_ring/token_bypass
+examples/msg/icomms/peer
+examples/msg/icomms/peer2
+examples/msg/start_kill_time/sk_time
+examples/msg/icomms/.kdbgrc.peer3
+examples/msg/icomms/peer3
+examples/msg/icomms/toto.txt
+examples/msg/masterslave/masterslave_console
+examples/msg/irc_isend/peer
+examples/msg/irc_isend/toto.txt
+examples/simdag/ex_sd_seq_access
+examples/simdag/sd_seq_access
+examples/simdag/sd_comm_throttling
+examples/simdag/sd_fail
+examples/simdag/sd_typed_tasks_test
+examples/simdag/ex_sd_test_console
+examples/simdag/sd_test_console
+examples/simdag/scheduling/Montage_25.jed
+examples/simdag/dot/dot_test
+examples/simdag/dot/dot_test2
+examples/simdag/ex_sd_test
+examples/simdag/ex_sd_test2
+examples/simdag/metaxml/sd_meta
+examples/simdag/properties/sd_prop
+examples/simdag/sd_test
+examples/simdag/sd_test2
+examples/simdag/dax/dax_test
+examples/simdag/goal/goal_test
+examples/simdag/scheduling/minmin_test
+examples/msg/actions/actions
+examples/msg/bittorrent/bittorrent
+examples/msg/bittorrent/bittorrent_platfgen
+examples/msg/kademlia/kademlia
+examples/msg/gtnets/gtnets
+examples/msg/masterslave/masterslave_bypass
+examples/msg/masterslave/masterslave_failure
+examples/msg/masterslave/masterslave_forwarder
+examples/msg/masterslave/masterslave_mailbox
+examples/msg/masterslave/masterslave_kill
+examples/msg/masterslave/masterslave_arg
+examples/msg/masterslave/masterslave_failure_platfgen
+examples/msg/masterslave/masterslave_platfgen
+examples/msg/migration/migration
+examples/msg/parallel_task/parallel_task
+examples/msg/parallel_task/test_ptask
+examples/msg/priority/priority
+examples/msg/properties/msg_prop
+examples/msg/sendrecv/sendrecv
+examples/msg/suspend/suspend
+examples/msg/trace/test_trace_integration
+examples/msg/mc/centralized
+examples/msg/mc/bugged2
+examples/msg/mc/bugged1
+examples/msg/parallel_contexts/pcontexts
+examples/msg/parallel_contexts/pcontexts2
+examples/msg/pmm/msg_pmm
+examples/smpi/toto.txt
+examples/smpi/MM/MM_mpi
+examples/smpi/smpi_replay
+examples/smpi/replay/one_trace
+examples/smpi/bcbench
+examples/smpi/first
+examples/smpi/mvmul
+examples/smpi/ring_c
+examples/smpi/second
+examples/smpi/sendrecv
+examples/smpi/mc_bugged1
+examples/smpi/mc_bugged2
+examples/smpi/smpi_traced_simple
+
+examples/msg/mc/bugged1_liveness
+examples/msg/mc/bugged1_stateful
+examples/msg/mc/bugged2_liveness
+examples/msg/mc/bugged2_stateful
+examples/msg/mc/centralized_liveness
+
+src/replay/replay
+src/testall
+teshsuite/smpi/allreduce
+teshsuite/smpi/alltoall2
+teshsuite/smpi/alltoall_basic
+teshsuite/smpi/alltoallv
+teshsuite/smpi/bcast
+teshsuite/smpi/compute
+teshsuite/smpi/compute2
+teshsuite/smpi/compute3
+teshsuite/smpi/dsend
+teshsuite/smpi/hvector_test
+teshsuite/smpi/indexed_test
+teshsuite/smpi/pingpong
+teshsuite/smpi/reduce
+teshsuite/smpi/scatter
+teshsuite/smpi/smpi_sendrecv
+teshsuite/smpi/split
+teshsuite/smpi/struct_test
+teshsuite/smpi/ttest01
+teshsuite/smpi/vector_test
+teshsuite/msg/get_sender
+teshsuite/msg/trace/test_trace_integration
+teshsuite/simdag/basic0
+teshsuite/simdag/basic1
+teshsuite/simdag/basic2
+teshsuite/simdag/basic3
+teshsuite/simdag/basic4
+teshsuite/simdag/basic5
+teshsuite/simdag/basic6
+teshsuite/simdag/incomplete
+teshsuite/simdag/network/mxn/test_intra_all2all
+teshsuite/simdag/network/mxn/test_intra_independent_comm
+teshsuite/simdag/network/mxn/test_intra_scatter
+teshsuite/simdag/network/p2p/test_latency1
+teshsuite/simdag/network/p2p/test_latency2
+teshsuite/simdag/network/p2p/test_latency3
+teshsuite/simdag/network/p2p/test_latency_bound
+teshsuite/simdag/network/test_reinit_costs
+teshsuite/simdag/platforms/basic_parsing_test
+teshsuite/simdag/platforms/flatifier
+teshsuite/simdag/platforms/basic_tracing
+teshsuite/simdag/platforms/basic_link_test
+teshsuite/simdag/platforms/evaluate_get_route_time
+teshsuite/simdag/platforms/evaluate_parse_time
+teshsuite/simdag/partask/test_comp_only_par
+teshsuite/simdag/partask/test_comp_only_seq
+teshsuite/xbt/log_large_test
+teshsuite/xbt/parallel_log_crashtest
+testsuite/xbt/parmap_bench
+teshsuite/xbt/mmalloc_test
+testsuite/run_tests
+testsuite/simdag/sd_test
+testsuite/surf/lmm_usage
+testsuite/surf/maxmin_bench
+testsuite/surf/surf_usage
+testsuite/surf/surf_usage2
+testsuite/surf/trace_usage
+testsuite/testgraph.dot
+testsuite/testgraph.xml
+testsuite/xbt/context_usage
+testsuite/xbt/graphxml_usage
+testsuite/xbt/heap_bench
+testsuite/xbt/log_usage
+tools/tesh/tesh
+examples/msg/tracing/categories
+examples/msg/tracing/ms
+teshsuite/simdag/platforms/is_router_test
+examples/msg/masterslave/masterslave_cluster
+examples/simdag/simdag_tracing
+examples/smpi/smpi_traced
+examples/smpi/ttest01
+teshsuite/datadesc_usage.out
+test_rl
+test_sg
+examples/smpi/smpi_traced.trace
+examples/simdag/dot/simulate_dot
+teshsuite/simdag/platforms/graphicator
+
+#########################################""
+## tutorial files
+doc/gtut-files/01-bones_client
+doc/gtut-files/01-bones_server
+doc/gtut-files/02-simple_client
+doc/gtut-files/02-simple_server
+doc/gtut-files/03-args_client
+doc/gtut-files/03-args_server
+doc/gtut-files/04-callback_client
+doc/gtut-files/04-callback_server
+doc/gtut-files/05-globals_client
+doc/gtut-files/05-globals_server
+doc/gtut-files/06-logs_client
+doc/gtut-files/06-logs_server
+doc/gtut-files/07-timers_client
+doc/gtut-files/07-timers_server
+doc/gtut-files/08-exceptions_client
+doc/gtut-files/08-exceptions_server
+doc/gtut-files/09-simpledata_client
+doc/gtut-files/09-simpledata_server
+doc/gtut-files/10-rpc_client
+doc/gtut-files/10-rpc_server
+doc/gtut-files/11-explicitwait_client
+doc/gtut-files/11-explicitwait_server